注册 | 登录读书好,好读书,读好书!
读书网-DuShu.com
当前位置: 首页出版图书科学技术计算机/网络图形图像、多媒体、网页制作综合EDA工程概论

EDA工程概论

EDA工程概论

定 价:¥41.00

作 者: 曾繁泰,李冰,李晓林著
出版社: 清华大学出版社
丛编项: EDA工程系列丛书
标 签: Protel/EDA

ISBN: 9787302050575 出版时间: 2002-01-01 包装: 精装
开本: 26cm 页数: 436 字数:  

内容简介

  本书是“EDA工程系列丛书”之一,共分10章。第1章简要概述了EDA工程的基本概念;第2章介绍了EDA工程理论基础;第3章介绍了EDA工程方法,涉及行为描述、SOC设计方法、IP复用、ASIC设计方法、虚拟机、测试平台设计方法、软硬件协同验证等内容;第4章介绍了VHDL语法基础、程序设计方法;第5章介绍了EDA工程的实现载体之一——各类可编程器件的原理、结构、编程方法;第6章介绍了电子产品设计开发过程,主要针对芯片设计、电路板设计、电子系统设计三个方面进行介绍;第7章是专业EDA工具开发基础,介绍了EDA工程数据库的管理,属于软件工具开发的范畴;第8章介绍了各种 EDA工具软件的应用,怎样建立集成设计环境,利用集成设计环境设计专用集成电路的方法;第9章介绍了SOC的设计方法,涉及IP复用方法、系统重构方法、基于集成平台的设计方法;第10章展望了 EDA工程的未来发展趋势。 本书概述了EDA工程的理论基础、知识体系,阐述了EDA工具的开发、EDA工具应用于PCB设计、FPGA设计、ASIC设计、SOC设计的方法,大致反映了EDA工程的总体内容,使读者对EDA工程的概貌有一个大致的了解。不同领域的技术人员,不同专业的学生可以有选择地阅读。 本书适用于高校电子、计算机、微电子、通信等相关专业的高年级学生的EDA工程专业教材,可以作为研究生的参考书,也可作为电子行业技术人员的参考读物。

作者简介

暂缺《EDA工程概论》作者简介

图书目录

第1章 概述                  
 1. 1 EDA工程发展历程                  
 1. 2  EDA工程的基本特征                  
 1. 3  EDA工程的应用范畴                  
 1. 4  EDA工程的设计方法                  
 1. 5  EDA工程的学术范畴                  
 1. 5. 1  IC设计的必备知识                  
 1. 5. 2  EDA工程语言                  
 1. 5. 3 EDA工程的硬件产品设计方法学                  
 1. 5. 4  EDA工程的软件工具设计方法学                  
 1. 5. 5  深亚微米建模                  
 第2章  EDA工程理论基础                  
 2. 1 现代电子设计概念                  
 2. 1. 1 EDA工程的实现载体                  
 2. 1. 2  EDA工程的设计语言                  
 2. 1. 3  EDA系统的框架结构                  
 2. 1. 4  EDA工程的理论基础                  
 2. 2 系统建模                  
 2. 2. 1 数字电子系统模型                  
 2. 2. 2 模拟器件的建模                  
 2. 2. 3 并行建模环境                  
 2. 2. 4 建立PLD器件的物理模型                  
 2. 3 高层次综合                  
 2. 3. 1 高层次综合概述                  
 2. 3. 2 高层次综合的范畴                  
 2. 4 故障测试                  
 2. 4. 1 概述                  
 2. 4. 2 故障模型                  
 2. 4. 3 故障仿真                  
 2. 4. 4 信号完整性仿真                  
 2. 5 功能仿真                  
 2. 5. 1 仿真的概念                  
 2. 5. 2 仿真的层次                  
 2. 5. 3 仿真系统的组成                  
 2. 5. 4 仿真工具实例--Saber                  
 2. 6 形式验证                  
 2. 6. 1 形式验证基本方法                  
 2. 6. 2 形式验证的HDL方法                  
 2. 6. 3 用测试平台语言实现自动验证                  
 2. 6. 4 在深亚微米设计中借助等效检验进行形式验证                  
 2. 6. 5 硬/软件并行设计与SOC验证                  
 第3章  EDA工程方法                  
 3. 1 行为描述方法                  
 3. 2 IP复用方法                  
 3. 2. 1 软IP与硬IP                  
 3. 2. 2 基于IP模块的设计技术                  
 3. 2. 3 系统级芯片(SOC)与IP重用授权                  
 3. 3 ASIC设计                  
 3. 3. 1 专用集成电路(ASIC)设计概述                  
 3. 3. 2 用可编程逻辑器件设计ASIC方法                  
 3. 3. 3 用门阵列设计ASIC方法(半定制法)                  
 3. 3. 4 用标准单元设计ASIC(半定制法)                  
 3. 4 大规模集成电路(VLSI)设计方法                  
 3. 5 集成平台设计方法                  
 3. 6 片上系统SOC设计方法                  
 3. 6. 1 概述                  
 3. 6. 2 利用FPGA实现片上系统                  
 3. 6. 3 嵌入式现场可编程系统芯片                  
 3. 6. 4 系统芯片设计方法的比较                  
 3. 6. 5 系统级芯片的内置式测试(BIST)新技术                  
 3. 6. 6 系统芯片展望                  
 第4章 VHDL语言基础                  
 4. 1 概述                  
 4. 1. 1 标识符                  
 4. 1. 2 对象                  
 4. 1. 3 数据类型                  
 4. 1. 4 运算操作符                  
 4. 2 VHDL程序基本结构                  
 4. 2. 1 实体的组织和设计方法                  
 4. 2. 2 结构体                  
 4. 2. 3 结构体的3种描述方法                  
 4. 2. 4 结构体的3种子结构设计方法                  
 4. 3 VHDL程序设计                  
 4. 3. 1 并行语句                  
 4. 3. 2 顺序语句                  
 4. 4  层次化设计方法                  
 4. 4. 1  库(libraries)                  
 4. 4. 2  程序包(PACKAGES)                  
 4. 4. 3  子程序                  
 4. 4. 4  文件输入/输出程序包TEXTIO                  
 4. 5  元件例化                  
 4. 5. 1  构造元件                  
 4. 5. 2  构造程序包                  
 4. 5. 3  用户构造元件库                  
 4. 5. 4  元件的调用                  
 4. 6  组合电路设计                  
 4. 6. 1  编码器. 译码器. 选择器电路                  
 4. 6. 2  运算器的设计                  
 4. 7  时序电路设计                  
 4. 7. 1  时钟边沿的描述                  
 4. 7. 2  时序电路中复位信号Reset的VHDL描述方法                  
 4. 8  VHDL设计综合                  
 4. 8. 1  逻辑综合概述                  
 4. 8. 2  设计实现概述                  
 4. 8. 3  面向CPLD器件的实现                  
 4. 9  VHDL设计仿真                  
 4. 9. 1  概述                  
 4. 9. 2  仿真方法                  
 4. 10  测试(平台)程序的设计方法                  
 4. 10. 1  实体描述可简化                  
 4. 10. 2  程序中应包含输出错误信息的语句                  
 4. 10. 3  配置语句(CONFIGURATION)                  
 4. 10. 4  不同仿真目的对测试平台设计的要求                  
 4. 10. 5  表格式测试程序设计                  
 4. 10. 6  文件I/O式测试程序设计                  
 4. 10. 7  用子程序方式建立测试平台                  
 4.  11  用 VHDL做电子系统设计                  
 4. 12  硬件语言应用技巧                  
 第5章  可编程器件                  
 5. 1  可编程器件概述                  
 5. 2  可编程技术方法                  
 5. 2. 1  编程技术                  
 5. 2. 2  发展趋势                  
 5. 3  专用集成电路(ASIC)                  
 5. 4  可编程逻辑器件早期产品PAL和GAL                  
 5. 5  可编程器件的分类                  
 5. 6  复杂的可编程器件(CPLD)                  
 5. 7  现场可编程逻辑门阵列(FPGA)                  
 5. 8  可配置计算逻辑阵列                  
 5. 9  可编程专用集成电路(ASIC)                  
 5. 10  流行可编程器件一览                  
 5. 11  模拟可编程器件                  
 5. 11. 1  在系统可编程模拟电路的结构                  
 5. 11. 2  PAC的接口电路                  
 5. 12  混合可编程器件                  
 5. 13  激光可编程器件                  
 5. 14  可编程器件技术展望                  
 第6章  用EDA工具设计电子产品                  
 6. 1 EDA工程实现目标之一--印刷电路板及其设计工具                  
 6. 1. 1  印刷电路板的种类                  
 6. 1. 2  元器件的封装形式                  
 6. 1. 3  印刷电路板设计时的常用术语                  
 6. 1. 4  印刷电路板常用标准                  
 6. 1. 5  印刷电路板布局设计                  
 6. 1. 6  印刷电路板的布线设计                  
 6. 2  印刷电路板设计                  
 6. 3  PCB设计工具Protel概述                  
 6. 3. 1  PCB布线流程                  
 6. 3. 2  电路板工作层面                  
 6. 3. 3  双面板的设计                  
 6. 3. 4  元件的布局                  
 6. 3. 5  电路板布线                  
 6. 3. 6  打印输出                  
 6. 3. 7  PCB报表                  
 6. 3. 8  创建项目元件库                  
 6. 3. 9  由PCB图生成网络表                  
 6. 4  印制电路板的可靠性设计                  
 6. 4. 1  如何提高电子产品的抗干扰能力和电磁兼容性                  
 6. 4. 2  Protel软件在高频电路布线中的技巧                  
 6. 4. 3  印刷电路板的电磁兼容性设计                  
 6. 4. 4  电子产品干扰的抑制方法                  
 6. 5   EDA工程实现目标之二--ASIC及其设计工具                  
 6. 5. 1  Cadence概述                  
 6. 5. 2  ASIC设计流程                  
 6. 6  ASIC设计工具--Cadence概述                  
 6. 6. 1  Cadence软件的环境设置                  
 6. 6. 2  Cadence软件的启动方法                  
 6. 6. 3  库文件的管理                  
 6. 6. 4  文件格式的转化                  
 6. 6. 5  怎样使用在线帮助                  
 6. 7  仿真工具Verilog-XL                  
 6. 7. 1  环境设置                  
 6. 7. 2  Verilog-XL的启动                  
 6. 7. 3  Verilog-XL的界面                  
 6. 7. 4  Verilog-XL的使用示例                  
 6. 7. 5  Verilog-XL的有关帮助文件                  
 6. 8  电路图设计及电路模拟                  
 6. 9  电路模拟工具Analog Artist                  
 6. 9. 1  设置                  
 6. 9. 2  启动                  
 6. 9. 3  用户界面及使用方法                  
 6. 9. 4  相关在线帮助文档                  
 6. 10  自动布局布线                  
 6. 10. 1 Cadence中的自动布局布线流程                  
 6. 10. 2 用AutoAbgen进行自动布局布线库设计                  
 6. 11 版图设计及其验证                  
 6. 11. 1 版图编辑器Virtuoso Layout Editor                  
 6. 11. 2  设置                  
 6. 11. 3  启动                  

本目录推荐